网易首页 > 网易号 > 正文 申请入驻

封测行业逐步复苏,甬矽电子:先进封装新秀,一站式交付能力优异

0
分享至

(报告出品方/分析师:方正证券 郑震湘 佘凌星)

1 团队产业背景丰富,专注先进封装

1.1 聚焦高端先进封装,迅速拓宽业务品类

专注中高端封装产品。公司于 2017 年 11 月设立,从成立之初即聚焦集成电路封测业务中的先进封装领域,全部产品均为 QFN/DFN、WB-LGA 等中高端先进封装形式。

公司下游客户主要为集成电路设计企业,如恒玄科技、晶晨股份、富瀚微、联发科、北京君正、韦尔股份等,产品主要应用于射频前端芯片、AP 类 SoC 芯片、触控芯片、WiFi 芯片等。

封装技术不断突破:

? 2018 年 6 月倒装芯片技术产品实现量产:

公司搭建了高精度倒装芯片封装产线(焊接精度达±3~6um),解决了倒装芯片贴装及焊接过程中的偏移/锡桥接等工艺难点,同时也解决了先进制程芯片封测过程中最具挑战的晶圆上低介电常数/超低介电常数的电介质层在加工过程中因机械外力、机械应力或热应力破裂工艺难点。

? 2018 年 8 月大尺寸/细间距扁平无引脚封装产品(QFN/DFN)实现量产:

公司 QFN/DFN 产品线尺寸覆盖了 2*2mm 至 12.3*12.3mm 多种规格,攻克了合金线和铜线在焊线过程中易氧化、焊线力度不易控制等工艺难题,实现了合金线和铜线产品的规模化量产,大幅降低了产品的封装成本。

? 2018 年 9 月焊线类 BGA 芯片实现量产:

公司焊线类 BGA 产品采用了多芯片层叠技术以及数量超过 1000 根的超高密度焊线技术,实现了芯片焊线垫 BPP/BPO45/39um 的细间距工艺。同时解决了铜线在封装过程中“芯片到芯片” 的控制难点,并攻克了 28nm 先进制程芯片在铜线焊线过程中存在的 Low-K/ELKCrack 风险,实现了产品的稳定规模化量产。

实控人为董事长&总经理王顺波。

董事长兼总经理王顺波直接持股 3.92%,通过宁波鲸舜、宁波鲸芯、浙江甬顺芯间接控制公司 27.93%股份,实际支配公司 31.85% 的股权,为公司实际控制人。

公司核心人员产业经验丰富。

公司核心人员在半导体封装测试行业头部厂商拥有平均 10 年以上的从业经验积累,在行业头部企业深耕多年,产业背景深厚。

徐林华历任江苏长电科技股份有限公司销售总监;徐玉鹏历任日月光封装测试(上海)有限公司工艺工程师、江苏长电科技股份有限公司集成电路事业中心副总经理。

股权激励彰显信心。

2023 年上半年公司实施了股权激励,向符合授予条件的 274 名激励对象授予 440 万股第二类限制性股票,占目前公司总股本的 1.08%,同时明确了 2023-2025 年度公司层面的业绩考核要求。通过实施股权激励,增强核心团队稳定性,实现核心员工与公司长期利益的绑定。

1.2 业绩持续攀升,稼动率保持高位

营收规模不断扩大,23Q2 收入复苏。

公司业务快速发展,2018-2022 年期间公司 CAGR 达 273.33%。

2023H1 公司营收为 9.83 亿,yoy-13.46%,主要系:宏观经济增速放缓、国际地缘政治冲突和行业周期性波动等多重因素影响,终端市场整体需求疲软。

2023H1 毛利率为 12.18%,yoy-13.04pcts。

2023 年第二季度公司稼动率整体呈稳定回升趋势,实现营业收入 5.58 亿元,yoy+0.55%,qoq+31.42%,但由于下游整体疲软,订单价格承压,导致公司上半年整体毛利率较去年同期仍有所下降。

公司于 2020 年初首次盈利,2021 年业绩显著突破,归母净利润实现大幅增长。

2023H1 公司归母净利润为-0.79 亿。2023H1 净利率为-9.75%,受行业整体需求疲软,人员支出及公司二期筹建费用增加,管理费用同比增长 84.94%,导致公司 2023 年上半年出现亏损。

专注高端封装,系统级封装为主要产品。

公司 2022 年营业收入 21.77 亿元,其中系统级封装产品收入 12.25 亿元,扁平无引脚封装产品收入 6.32 亿元,高密度细间距凸点倒装产品收入 2.92 亿元。

系统级封装和高密度细间距凸点倒装产品毛利率较高。

公司系统级封装产品 2019-2021 年毛利率稳定在 30%左右。由于规模效应,扁平无引脚封装和微机电系统传感器的毛利率与 2020 年起转正。2022 年以来受制于行业需求下滑,公司产品价格相应降低,系统级封装、扁平无引脚封装、高密度细间距凸点倒装产品毛利率均有所下滑。

产品应用领域广,产销率与稼动率高维持高位。

从产品应用领域来看,射频芯片、SoC 芯片、IC 芯片、IoT 芯片等均有涉及,产品获得不同行业客户认可,应用广泛,市场反响较好。2019-2022H1,公司产销率始终维持在 97%以上,销售情况良好,库存水平较低。

下游客户构成稳定,多元销售助力发展。

公司下游客户主要为集成电路设计企业,如晶晨股份、翱捷科技、富瀚微、联发科、北京君正、韦尔股份等。

近三年来公司前五大客户构成较为稳定,销售额不断提升。

快速开拓市场,期间费用率略有上升。

公司处于业务开拓期,2020 年以来期间费用率微升,未来随着规模效应及经营效率提升,期间费用率有望小幅下降。

公司销售费用率随着公司市场开拓力度加大而上升;管理费用率方面,公司二期项目建设推进过程中职工薪酬、水电气等能源支出及物料消耗增加,管理费用相应上升;研发费用率较为稳定,主要系公司持续加大研发投入,提升产品性能与工艺,增强公司的竞争优势所致。

围绕市场需求推进研发创新,研发实力强劲。

公司 2020-2022 年研发费用分别为 0.49 亿元、0.97 亿元、1.22 亿元。2021 年起公司研发支出大幅增加,鉴于营收保持高增速,因此研发费用率有所拉低。

公司 2023H1 研发投入为 0.62 亿元,占营收比例为 6.27%,不断提升公司客户服务能力,扩充高端技术与产能以适应市场的需求和变化。截至2022年底,公司研发人员419人,研发人员数量占比13.61%。

1.3 上市助力扩产提质,巩固公司龙头地位

公司于 2022 年 12 月上市,公开发行 6000 万股,募集资金净额 10.10 亿元,主要用于高密度 SiP 射频模块封测项目、集成电路先进封装晶圆凸点产业化项目。

高密度 SiP 射频模块封测项目总建设周期为 3 年,达产后每月将新增 14,500 万颗 SiP 射频模块封测产能。集成电路先进封装晶圆凸点产业化项目总建设周期为 3 年,完全达产后将形成晶圆凸点工艺产能 15,000 片/月。

2 封测行业逐步复苏,先进封装高速成长

2.1 半导体销售额回暖,封测 Q2 环比改善

全球半导体销售额连续四个月环比向上。

根据美国半导体工业协会(SIA)数据,2023Q2 全球半导体销售额共计约 1245 亿美金,相较于 2022Q2 同比下降 17.3%,相较于 2023Q1 环比增长 4.7%。

其中 2023 年 6 月全球半导体销售额约 415 亿美金,相较于 5 月 407 亿美金环比增长约 1.9%,同时根据 SIA 统计数据我们发现:自 2023 年 3 月起,全球半导体销售额环比连续 4 个月为正,且环比增长幅度也由 3 月 0.3%提升至 6 月 1.9%。

我们认为,通过目前数据反映出自 2023 年二季度起全球半导体行情开始呈现复苏态势,且整体复苏呈现出逐步加速态势,后续伴随下游需求市场回暖以及部分半导体企业去库存进入尾声,全球半导体行业或将延续加速复苏态势。

中国半导体市场回暖速度快于全球。

中国市场方面,根据 SIA 数据,中国市场 2023Q2 半导体销售额约 356 亿美金,同比下降约 28.4%,环比提升 5.6%。其中 2023 年 6 月,中国半导体销售额约 123 亿美金,同比下降 24.4%,环比提升 3.2%。

对比同机构测算的全球数据,2023Q2 中国半导体市场销售额环比增速 5.6%高于全球环比增速 4.7%,截止 2023 年 6 月中国半导体销售额连续 4 个月环比为正,且环比增速均高于全球市场。

我们认为相较于全球而言,中国市场下游新兴领域发展较快以及部分需求表现亮眼,未来中国半导体市场有望继续延续加速回暖态势。

台股封测板块月度营收连续3月环比改善。

测板块我们选取了日月光、颀邦科技、京元电子、南茂科技等 10 家公司,2023 年 8 月板块总营收 706.85 亿新台币,MoM+6.1%。

2023 年 5-7 月营收环比为+5.8%,+1.5%,+3.2%,已经连续四个月环比改善。我们认为封测板块有望率先迎来复苏。

三季度有望逐季回升,毛利率持续改善。

我们梳理了四家台股封测厂的 23Q2 经营情况及法说会交流,四家公司 23Q2 营收和毛利率均有环比改善。展望三季度,日月光、南茂和力成预计营收仍有望逐季回升。封测作为重资产的公司,随着稼动率的回升,毛利率也将持续改善。

2.2 后摩尔时代,先进封装高速成长

进入“后摩尔时代”,芯片设计成本攀升。

“摩尔定律”认为集成电路上可容纳的元器件的数目,约每隔 18-24 个月便会增加一倍,性能也将提升一倍。

2015 年以后,集成电路制程的发展进入了瓶颈,制程工艺已接近物理尺寸的极限,行业进入了“后摩尔时代”,受成本大幅增长和技术壁垒等因素影响,工艺制程改进速度放缓。

由于集成电路制程工艺短期内难以突破,通过先进封装技术提升芯片整体性能成为了集成电路行业技术发展趋势。

存储互联带宽落后,单位面积功率遇到瓶颈。

存储的互连带宽的提升严重落后于 CPU 和 GPU 计算能力的发展,从而阻碍了性能的提高。高端芯片的功耗稳步增加,这给更大的芯片带来了电源和冷却方面的挑战。

虽然芯片的功耗和面积同步增长,但单位面积的芯片功耗却遭遇了瓶颈。

先进封装应运而生。

先进封装主要是采用键合互连并利用封装基板来实现的封装技术,主要包括倒装(Flip Chip,FC)封装、晶圆级封装(Wafer Level Package,WLP)、2.5D 封装、3D 封装等,其先进性体现在封装尺寸更小,I/O 密度更高,设计和封装难度也显著加大。

而传统封装主要包括 DIP、SOP、SOT、TO、QFP 等封装形式,以引线键合为主。

先进封测市场占比迅速增加。

先进封装市场规模将从 2021 年的 321 亿美元增长到 2027 年的 572 亿美元,CAGR 达 10.11%。根据市场调研机构 Yole,2022 年先进封装占全球封装市场的份额约为 47.20%,预计 2025 年占比将接近于 50%。中国市场中先进封装占比低于全球水平,2022 年为 38%,自 2014 年以来与全球市场的差距正在逐步缩小。

倒装为目前主流,2.5D/3D 封装高速增长。

2021 年 FCBGA 和 FCCSP 占比分别为 33.69%和 19.76%,合计占比超 50%。其次为 2.5D/3D 封装,2021 年占比为 20.57%,主要由台积电供应。在各封装形式中,2.5D/3D 封装的增速最快,2021-2027 年 CAGR 达 14.34%,增量主要由 AI、HPC、HBM 等应用驱动。

2022 年只有不到 20%的数据中心使用 2.5D 封装,但在 2027 年这一比例将有望超过 50%。3D 封装将加速在 HBM、CPU、GPU 中的渗透。

消费电子应用领域的重要客户是苹果,其应用处理器、图形芯片、5G/6G 调制解调器芯片均使用扇出封装。

先进封装市场马太效应明显。

2021 年 ASE 市占率居首,份额为 26%。台积电和安靠并列第二,长电科技位列第四,市占率为 8%。2021 年 CR5 为 76%,而 2016 年 CR5 为 48%,5 年间提升了 28%,份额前五名中仅长电和日月光仍位列其中。

Fab/IDM 厂和 OSAT 错位竞争:

Fab/IDM 厂商涉足 3D 堆叠,OSAT 主攻倒装、扇出和晶圆级封装。

Fab/IDM 厂基于前道制造优势和硅加工经验,聚焦产品性能,多开发基于 Si-interposer 的 2.5D 或 3D 封装技术从头部厂商的封装类型来看,三星的 3D 堆叠产品最高,达 67%,主要系其存储产品占比较高所致。

其次为台积 电,3D 堆叠占比为 46%;凭借其 InFO 在苹果产品中的渗透,台积电扇出型封装占比也达到了 33%。

OSAT 厂商则聚焦于载板技术,成本为先,产品结构中倒装仍是主力,FCBGA 和 FCBGA 占比在 ASE 中为 38%和 29%,在安靠中为 28%和 33%,在长电中为 28%和 31%。

凸点间距(Bump Pitch)越小,封装集成度越高,难度越大。

从 Bump Pitch 来看,台积电 3D Fabric 技术平台下的 3D SoIC、InFO、CoWoS 均居于前列,其中 3D SoIC 的 bump Pitch 最小可达 6um,居于所有封装技术首位。

Bump Pitch 间距最小的 3D SoIC 和 Foveros Direct 仍在研发中,尚未量产。目前已经量产的封装技术中,bump pitch 最小的为台积电的 InFO_LSI。

2.2.1 Flip Chip 倒装芯片的组装主要有两种方式,间接键合和直接键合。

与传统的引线键合工艺不同,倒装芯片使用焊料或金属凸点,利用倒装焊技术将芯片与基板直接互连。

通过回流焊凸点焊球或者 TCB 热压键合的属于间接键合,特点是芯片与基板之间有中间材料。通过混合键合,铜与铜扩散键合,中间没有其他材料的方式是直接键合。铜柱凸点是高密度、窄节距集成电路封装市场主流方式。随着先进封装对凸点间距要求越来越小,为了避免桥接现象的发生,实现更高 I/O 密度,IBM 公司于 21 世纪初首次提出了铜柱凸点。

在焊料互连过程中,铜柱凸点能够保持一定的高度,可以防止焊料的桥接现象发生,同时可以掌控堆叠层芯片的间距高度,铜柱凸点的高径比不再受到阵列间距的限制,在相同的凸点间距下,可以提供更大的支撑高度,显著改善了底部填充胶的流动性。

FCBGA 为倒装主要形式,FCCSP 复合增速更快。

2022 年 FCBGA 市场规模约 125.9 亿美元,2022-2027 年 CAGR 约 6.6%。

FCCSP 主要应用于移动和消费市场,例如智能手机 APU、RF、DRAM 等。FCCSP2022 年市场规模约 70.5 亿美元,2022-2027 年 CAGR 约 13.4%。

2.2.2 WLCSP 对增加 I/O 数量的需求推动扇出技术涌现。

晶圆级封装可分为扇入(Fan-in)和扇出(Fan-out)两种,传统的晶圆级封装多采用扇入型结构,应用于 I/O 数量较少的芯片中。

随着终端应用对性能要求的提高,I/O 数量不断增加,而芯片制程则不断缩小,导致扇入型封装难以承载不断增加的 I/O 数量,因此扇出型技术应 运而生。

Fan-out 技术利用 RDL 工艺在芯片尺寸以外的区域做 I/O 接点的布线设计,以提高 I/O 数量。在完成芯片锡球链接后,不需要使用封装载板便可直接焊接在 PCB 上,缩短信号传输距离,提高电学性能。

2020-2026 年间,扇出封装市场将由 14.75 亿美元增长至 34.25 亿美元,CAGR 达 15.1%。其中增长的动力主要由超高密度扇出封装(UHD FO)贡献,这一封装技术主要应用在 HPC 领域,该领域的主要供应商为台积电。

2.2.3 CoWoS 为 2.5D 封装主流,产能持续紧缺

2.5D 封装进一步提高封装及程度。传统的 2D 封装载体的布线比芯片上的布线宽 出 3 个数量级,占用的平面尺寸较大,封装微型化的需求催生了 2.5D 封装。

2.5D 封装与 2D 封装的区别在于,在电子元器件和封装载体之间加入了中介层/转接板(Interposer),以实现芯片元器件和封装载体之间的互联和布线的转换。

为 HPC 而生,CoWoS 成最主流 2.5D 封装方案。

台积电的 CoWoS 平台包含 CoWoS-S/R/L,为高性能计算应用提供最佳性能和最高集成密度,提供了广泛的硅中介层尺寸、HBM 数量和封装尺寸。

英伟达、博通、谷歌、亚马逊、NEC、AMD、赛灵思、Habana 等公司已广泛采用 CoWoS 技术,2020 年基于 CoWoS-S 的系统的总计算能力占所有 500 强系统总计算能力的 50%以上。

CoWoS 共有三大技术方向:

CoWoS-S 采用硅中介层,可以为高性能计算应用提供最佳的性能和最高的晶体管密度;CoWoS-R 利用 InFO 技术,利用 RDL 中介层进行互连,更强调小芯片间的互连;CoWoS-L 结合了 CoWoS-S 和 InFO 技术的优点,使用夹层与 LSI(局部硅互连)芯片进行互连,使用 RDL 层进行电源和信号传输,提供了最灵活的集成。

CoWoS 工艺流程分为前段 CoW 和后段 oS 工艺。

CoW 包括 TSV、Si interposer 的制作,在裸芯(Die)上制备微凸点(ubump),其次进行与晶圆的键合,并进行晶圆级封装。oS 工艺包括减薄、临时键合、植入 C4、解键合、划片,最后实现与载板之间的连接。CoWoS 工艺结束后再进行成品测试(FT)。目前 CoW 供应商主要为台积电,其次为联电。oS 工艺则台积电、矽品、安靠、日月光皆可提供。

台积电 CoWoS 产能紧缺,扩产 2X。

HPC 行业大趋势的支撑下,计算需求的结构性大幅增长继续推动对性能和节能计算的更大需求。

AI 需要更高的计算能力和互连带宽,推动半导体含量增加。无论是使用 CPU、GPU 还是 AI 加速器和相关 ASIC,对于机器学习的人工智能来说,共同点是需要使用领先的技术和强大的代工设计生态系统。

台积电最新法说会表示,服务器 AI 处理器需求目前约占公司总收入的 6%,预计未来五年内这一数字将以接近 50%的速度增长,占收入的百分比达到十几个点。当前先进封装产能供不应求,公司预计 CoWoS 供需紧张持续至 2024 年底,CoWoS 将扩产至目前的两倍。

3 攻克高密度 Bump+RDL 技术,发力晶圆级封装

3.1 研发高投入,先进封装占比高

自主研发核心技术,占领先进封装高地。

公司在 2018 年后逐步实现多种尖端产品和技术的量产,包括倒装芯片、大尺寸/细间距无引脚封装(QFN/DFN)、焊线类 BGA、系统级封装(SiP)以及混合封装 BGA(Hybrid-BGA),在高端集成电路封装领域中的竞争力和市场地位显著提升。

公司主要封装形式关键技术指标与行业头部公司同类产品持平或接近,产品技术水平处于行业前列。

公司研发的 Bumping 先进封装技术,微凸块最小高度为 20um,最小凸块直径 20um,最小间距 可达 34um,单晶粒(3mm*3mm)上的凸块数量达到了 3000 个以上。

甬矽电子先进封装占比领先。

甬矽电子目前封装技术以 SiP 为主,先进封装产品占比达 100%。通富微电、长电科技、华天科技技术布局最为广泛,且均已具备 2.5D/3D 的技术储备,未来先进封装占比有望继续提升。

先进封装技术持续储备。

公司积极开发 Fan-in/Fan-out、2.5D/3D 等晶圆级封装技术、高密度系统级封装技术、大尺寸 FC-BGA 封装技术,储备凸块及重布线技术/TSV/膜状底填热压键合等基础技术,为未来高端产品切入客户奠定良好基础。

封测产业集中度较高,且持续提升。

2022 年 CR10 达 77.98%,而 2021 年则为 77.55%,同比增加 0.43pct。按地区划分:前十大 OSAT 公司中,中国大陆占 4 家,中国台湾占 5 家,美国为 1 家。2022 年中国大陆企业占比为 24.54%,同比增加 1.01pct。

甬矽电子在国内独立封测企业中排名靠前。根据中国半导体行业协会封装分会统计,甬矽电子 2019 年位居国内独立封测企业的第 11 名,在内资企业中排名第 6。

根据芯思想研究院口径,公司 2020 年在内资企业中排名第 8,均处于内资企业前列。

3.2 突破 Bump+RDL 工艺,WLP 技术蓄势待发

Bump 工艺显著提高集成密度。

Bump 是先进封装微凸块技术通过在芯片表面制作金属凸块提供芯片电气互连的“点”接口,反映了先进制程以“点连接替代焊线” 的发展趋势,广泛应用于 FC、WLP、2.5D、3D 等先进封装。

它提供了芯片之间、芯片和基板之间的“点连接”,由于避免了传统 Wire Bonding 向四周辐射的金属“线连接”,减小了芯片面积(封装效率 100%),此外凸块可以阵列在芯片表面,引脚密度可以做得很高,极大的提升集成密度同时满足高性能芯片的需求。

晶圆凸点工艺是所有晶圆级封装技术的基础。

晶圆级封装是集成电路行业先进封装技术的重要组成部分,一般为直接在晶圆上进行封装测试程序,之后再进行切割制成单颗组件的封装方式。相较于传统封装形式,晶圆级封装能实现高带宽、高互联速度的同时,保障高可靠性和低功耗。凸点作为晶圆级封装中主要的连接方式,Bump 工艺是其重要基础。

通过实施晶圆凸点产业化项目布局,后续切入扇入、扇出式封装。

公司在 SiP 领域具备丰富的技术积累,WLP 方面量产技术略有欠缺,通过实施晶圆凸点产业化项目切入布局“扇入型封装”(Fan-in)、“扇出型封装”(Fan-out)、2.5D、3D 等晶圆级和系统级封装应用领域,提前布局进行凸块及重布线技术/TSV/膜状底填热压键合技术/不同结构微凸点制造技术/扇入及扇出技术等研究,在接下来的研发及生产过程中逐步推进量产,为进一步拓展异构封装领域打下基础,并丰富公司的封装产品类型,增强公司的技术竞争优势和持续盈利能力。

晶圆凸点加工有望实现委外加工向自给的转变。

2019、2020,2021 年公司高密度细间距凸点倒装产品(FC 类产品)产量分别为 36.61 百万颗、265.11 百万颗和 246.30 百万颗,此前公司产品晶圆凸点工艺均采取外协加工方式,委托中芯长电半导体(江阴)有限公司、宁波芯健半导体有限公司等企业代为加工。

公司积极推动二期项目建设,扩大产能规模,提升对客户的服务能力,积极布局先进封装和汽车电子领域包括 Bumping、CP、晶圆级封装、FC-BGA、汽车电子等新的产品线,持续推动相关技术人才引进和技术攻关,提升自身产品布局和客户服务能力。

2023H1,公司自有资金投资的 Bumping 及 CP 项目实现通线,公司具备了为客户提供 “Bumping+CP+FC+FT”的一站式交付能力,可以有效缩短客户从晶圆裸片到成品芯片的交付时间及更好的品质控制,通过实施 Bumping 项目掌握的 RDL 及凸点加工能力,为公司后续开展晶圆级封装、扇出式封装及2.5D/3D封装奠定工艺基础。

RDL 线宽/线距不断缩小,多 RDL 布线层 Bumping 量产。

随着芯片发展,对带宽要求越来越高,因此要求 RDL 的线宽及线距不断缩小,以容纳更多的 I/O 点。

公司致力于不断缩小线款,目前最小线宽可达 5um,最小线间距可达 5um。公司运用于量产产品上的细线宽为最小线宽 8um,最小线间距 8um。

有机结合先进的 Bumping 微凸块和 RDL 重布线技术,实现多 RDL 布线层 Bumping 量产,并为后续 Fan-out(扇出式封装)奠定工艺基础。

4 盈利预测

4.1 营收分拆

甬矽电子自成立之初扎根于中高端先进封装和测试业务,位列国内独立封测前沿。

公司主要从事集成电路的封装和测试业务,为集成电路设计企业提供一站式的集成电路封装与测试解决方案。

下游客户主要为集成电路设计企业,产品主要应用于射频前端芯片、AP 类 SoC 芯片、触控芯片、WiFi 芯片、蓝牙芯片、MCU 等物联网芯片、电源管理芯片、计算类芯片、工业类和消费类产品等领域。

公司全部产品均为 QFN/DFN、WB-LGA、WB-BGA、Hybrid-BGA、FC-LGA 等中高端先进封装形式,并在系统级封装(SiP)、高密度细间距凸点倒装产品(FC 类产品)、大尺寸/细间距扁平无引脚封装产品(QFN/DFN)等先进封装领域具有突出工艺优势和技术先进性。

受下游需求复苏不及预期影响,公司营收波动,综合考虑先进封装未来市占率上升及公司降本增效与加大研发投入动作,我们预计公司 2023-2025 年营收分别为 23.02/28.42/36.36 亿元,毛利率为 18.79%/21.25% /22.41%。

系统级封装产品(SiP):公司系统级封装产品主要应用于 4G/5G 射频、通信领域,包括 WB-LGA 和 WB-BGA 两种封装形式,目前以智能手机为代表的移动消费电子领域是系统级封装最大的下游应用市场。

消费电子市场处于去库存过程,需求不振传导至封测端,但预计去库存动作不会长期持续,可穿戴设备、IoT 物联网设施以及电信基础设施未来增速可观,因此预计公司系统级封装测试产品 2023 年增速降缓后,2024 年有望回升,我们预计公司 2023-2025 年系统级封装产品营收分别为 12.86/16.72/22.07 亿元,毛利率分别为 22.00%/23.50%/24.40%。

扁平无引脚封装产品(QFN/DFN):QFN/DFN 封装形式属于中端封装类型,市场容量较大,QFN/DFN 类产品有体积小、重量轻、效率高、散热性能强、电性能好、可靠性强等优点,整体而言,QFN 在中端、中高端芯片领域具备更广泛应用的能力,公司市场拓展力度的逐年加强以及产能的逐年扩张,成功研发双圈 QFN(Dual Row QFN,DR-QFN)产品并推进量产,引脚集成密度进阶提升超过 20%。随着手机、耳机、人工智能等芯片需求国产替代推进,我们预计公司 2023-2025 年扁平无引脚产品营收分别为 6.51/7.16/8.45 亿元,毛利率分别为 9.50%/10.75%/11.55%。

高密度细间距凸点倒装产品(FC 类产品):

公司高密度细间距凸点倒装产品(FC 类产品)主要由 BTC-LGA、FC-LGA 类和 FC-CSP 类产品构成,产品所需的塑封树脂采购单价较高,产品对于导热性、底部填充等要求更高,基板铜厚更厚,因此材料成本更高。

未来发展将受益于 PC、服务器和汽车内部分产品应用,在移动和消费市场发展空间较大。

我们预计公司 2023-2025 年高密度细间距凸点倒装产品营收分别为 3.45/4.31/5.60 亿元,毛利率分别为 24.56%/30.30%/31.25%。

微机电系统传感器(MEMS):公司所封装的 MEMS 传感器主要为硅麦克风,主要用于微机电麦克风等产品,该产品需要在晶圆上制作悬梁、薄膜、空腔、密封洞、针尖、微弹簧等复杂的机械结构,公司采用了隐形切割技术,显著提高了 MEMS 传感器封装良率,公司 MEMS 产品主要客户为鑫创科技和韦尔股份。

4.2 费用率假设

销售费用率:

凭借稳定的封测良率、灵活的封装设计实现性、不断提升的量产能力和交付及时性,公司获得了集成电路设计企业的广泛认可,并同众多国内外知名设计公司缔结了良好的合作关系。伴随营收的增加,销售费用有所上升,总体销售费用率较稳定,我们预计 2023-2025 年公司销售费用率为 1.00%/0.95%/0.88%。

管理费用率:

作为一家拥有成熟管理架构的公司,公司管理费用率较为稳定,2022 年费用率有所波动主要系职工薪酬增加、人员规模增加所致。随着营收规模的增加,总体管理费用率将小幅下滑,我们预计 2023-2025 年公司管理费用率为 5.50%/5.50%/5.30%。

研发费用率:

公司坚持中高端先进封装定位,持续加大研发投入,不断提升公司客户服务能力。研发费用整体呈增长趋势,费用率保持较高水准。目前公司积极布局包括 Bumping、CP、晶圆级封装、FC-BGA、汽车电子等新的产品线,持续推动相关技术人才引进和技术攻关,研发费用率将有小幅提升,我们预计 2023-2025 研发费用率为 5.70%/5.90%/5.90%。

财务费用率:

2022 年公司财务费用大幅增加,主要系借款增加利息支出增长,汇率波动汇兑损失增加所致。随着公司进一步提高资金使用效率、控制资金成本,公司财务费用率将恢复正常水平,我们预计 2023-2025 财务费用率为 2.99%/3.09%/2.92%。

4.3 估值对比

公司主营业务为半导体封测,我们选取了主营业务同样为封测业务的长电科技、通富微电、华天科技,可比公司 2023-2025 年平均 PE 为 34/22/18 倍。

5 风险提示

新技术研发进度不及预期。

公司晶圆级封装等产品量产进度尚未确定。

竞争加剧导致产品价格下降。

竞争对手为抢占市场份额可能降价。

下游需求不及预期。

行业需求不振,公司订单可能不及预期。

特别声明:以上内容(如有图片或视频亦包括在内)为自媒体平台“网易号”用户上传并发布,本平台仅提供信息存储服务。

Notice: The content above (including the pictures and videos if any) is uploaded and posted by a user of NetEase Hao, which is a social media platform and only provides information storage services.

相关推荐
热点推荐
穆雷父母发表声明:他选择了结束自己的生命

穆雷父母发表声明:他选择了结束自己的生命

高尔夫杂志
2024-05-27 08:19:26
“晾衣架”退出中国家庭!建议学习上海人的做法,美观还不占地

“晾衣架”退出中国家庭!建议学习上海人的做法,美观还不占地

阿离家居
2024-06-16 03:38:28
3-0、1-1,申花疲态已显,海港如日中天,只要不被罚,冠军无悬念

3-0、1-1,申花疲态已显,海港如日中天,只要不被罚,冠军无悬念

我就是一个说球的
2024-06-16 22:43:00
广东的抑郁症最低,不是没道理的,哈哈哈哈

广东的抑郁症最低,不是没道理的,哈哈哈哈

今日养生之道
2024-06-16 17:35:30
情况不妙?怎么也没想到俄军大将再出昏招,400多名俄军遭反包围

情况不妙?怎么也没想到俄军大将再出昏招,400多名俄军遭反包围

战域笔墨
2024-06-16 17:36:20
G7让俄赔乌近5000亿,普京甩出王炸,等这一天好久了

G7让俄赔乌近5000亿,普京甩出王炸,等这一天好久了

朗威游戏说
2024-06-16 23:07:41
伊能静喊话大S:珍惜那个给你依靠的人

伊能静喊话大S:珍惜那个给你依靠的人

综艺拼盘汇
2024-06-16 07:50:17
A股:传来两个信息,明天行情或开始加速!

A股:传来两个信息,明天行情或开始加速!

金美圆的财经笔记
2024-06-16 12:16:37
有种“毁容”叫沈傲君,年轻时赛西施惊艳众人,今44岁发福不敢认

有种“毁容”叫沈傲君,年轻时赛西施惊艳众人,今44岁发福不敢认

虾剪说剧
2024-06-16 02:15:02
刘亦菲再美,也救不了这烂剧

刘亦菲再美,也救不了这烂剧

独立鱼
2024-06-15 22:03:24
电影之夜红毯生图来了!章子怡浓妆翻车,娜扎太瘦皮肤状态差

电影之夜红毯生图来了!章子怡浓妆翻车,娜扎太瘦皮肤状态差

萌神木木
2024-06-16 18:50:44
突发!东莞东部快速横沥段高压线倒塌,现场照片流出,可谓惨烈…

突发!东莞东部快速横沥段高压线倒塌,现场照片流出,可谓惨烈…

火山诗话
2024-06-16 08:28:01
南京杀妻案吉星鹏被判处死刑,临刑前他全身抽搐流泪不止

南京杀妻案吉星鹏被判处死刑,临刑前他全身抽搐流泪不止

一度历史观
2024-06-14 12:56:49
青岛西海岸0-1武汉三镇,赛后评分:青岛西海岸1号排名第一

青岛西海岸0-1武汉三镇,赛后评分:青岛西海岸1号排名第一

侧身凌空斩
2024-06-16 21:58:32
阿南德最新预言!2024年,美国动乱,日本地震,中国将有大事发生

阿南德最新预言!2024年,美国动乱,日本地震,中国将有大事发生

飞云如水
2024-06-10 22:25:48
热搜上毁三观的“和男朋友天天做饭”:新型羞耻,害惨中国年轻人

热搜上毁三观的“和男朋友天天做饭”:新型羞耻,害惨中国年轻人

小椰子专栏
2024-06-14 13:02:51
缅中边贸几乎全部停滞!边境大米商只能通过海运出口大米

缅中边贸几乎全部停滞!边境大米商只能通过海运出口大米

缅甸中文网
2024-06-16 15:06:40
李念与大14岁富豪老公罕见合体!她穿露肩红裙明媚动人

李念与大14岁富豪老公罕见合体!她穿露肩红裙明媚动人

时髦范
2024-06-16 11:56:41
新射雕英雄传:定档6月17日,五绝演员官宣,王重阳气质绝了!

新射雕英雄传:定档6月17日,五绝演员官宣,王重阳气质绝了!

综艺拼盘汇
2024-06-14 17:29:21
胖东来引发郑州商超的鲶鱼效应,丹尼斯与胖东来的较量正式拉开!

胖东来引发郑州商超的鲶鱼效应,丹尼斯与胖东来的较量正式拉开!

小蜜情感说
2024-06-16 19:24:08
2024-06-16 23:54:44
西西爱音乐的
西西爱音乐的
团结就是我们的核心力量
932文章数 223关注度
往期回顾 全部

财经要闻

打断妻子多根肋骨 上市公司创始人被公诉

头条要闻

欧洲猪肉业界:中国若限制进口将是梦魇

头条要闻

欧洲猪肉业界:中国若限制进口将是梦魇

体育要闻

没人永远年轻 但青春如此无敌还是离谱了些

娱乐要闻

上影节红毯:倪妮好松弛,娜扎吸睛

科技要闻

iPhone 16会杀死大模型APP吗?

汽车要闻

售17.68万-21.68万元 极狐阿尔法S5正式上市

态度原创

艺术
时尚
手机
本地
军事航空

艺术要闻

穿越时空的艺术:《马可·波罗》AI沉浸影片探索人类文明

伊姐周日热推:电影《沙漏》;动漫《眷思量2》......

手机要闻

荣耀X60i入网:配置全面升级,能否满足你的所有期待?

本地新闻

粽情一夏|海河龙舟赛,竟然成了外国人的大party!

军事要闻

以军宣布在加沙南部实行"战术暂停"

无障碍浏览 进入关怀版