网易首页 > 网易号 > 正文 申请入驻

英特尔重塑代工业务:按期推进4年5个节点、公布Intel 14A路线图

0
分享至

IT之家 2 月 22 日消息,英特尔于北京时间今天凌晨 0 点 30 分举办了 IFS Direct Connect 2024,在宣布 IFS 更名为 Intel Foundry 之外,还公布了未来十年的工艺路线图,尤其提及了 1.4nm 的 Intel 14A 工艺。

英特尔在本次活动中宣布了大量的动态信息,IT之家梳理汇总如下:

图源:IntelIFS 更名为 Intel Foundry

英特尔首席执行官帕特・基辛格(Pat Gelsinger)在本次活动中,宣布 Intel Foundry Services 更名为 Intel Foundry,并目标在 2030 年成为全球第二大的半导体制造工厂。

英特尔在活动中多次提及了“systems foundry”(系统代工厂)的概念,在英特尔定义中,量化了英特尔在系统级设计方面的经验,并将其所有的技术开发、制造、供应链和英特尔代工厂服务整合在一起。

这不仅包括生产各种类型的处理器,还包括为客户提供封装和连接结构解决方案,甚至帮助提供冷却解决方案。

英特尔代工厂既面向外部客户,也面向英特尔内部客户,目标是以灵活、可持续的供应链为两者提供同等服务。

英特尔还宣布推出英特尔代工厂高级系统与测试(ASAT)产品组合的新功能,帮助客户利用英特尔的全套技术打造自己的人工智能芯片。

5N4Y 战略按期推进

基辛格表示为了实现这个目标,英特尔公司积极推动 5N4Y 战略,计划在未来 4 年交付 5 个工艺节点,扩展现有的工艺节点阵容,并在 Intel 18A 工艺节点上生产 Clearwater Forest 处理器。

基辛格表示 2021 年发布的原计划正按部就班地推进,Intel 7 和 Intel 4 工艺节点已投放市场,Intel 3 工艺节点就准备就绪,可以进行大批量生产(HVM)。

基辛格表示英特尔的 Intel 20A(2 纳米)和 18A(1.8nm)也将如期上市,是业内首批采用 PowerVia 背面供电技术的芯片,通过优化供电提高性能和晶体管密度。

同时 18A 也是英特尔首个采用 RibbonFET 全周栅极(GAA)晶体管的节点,在缩小面积的情况下,提供更高的晶体管密度和更快的晶体管开关速度。

英特尔 18A 现已准备就绪,客户现在就可以使用英特尔 EDA(设计软件)和 IP 合作伙伴提供的 0.9 PDK 进行设计,完整的 1.0 PDK 将于四五月份面世。

Clearwater Forest 处理器已流片

英特尔表示 Clearwater Forest 处理器已经流片,这意味着芯片的最终设计已经准备就绪,可以投入生产。Clearwater Forest 是该公司首款大批量生产的 18A 芯片。

Clearwater Forest 由在 18A 节点上制造的 CPU 芯片组成,然后通过 3D Foveros 封装技术和 Intel 3 基础芯片(包括高速缓存)一起封装。

Clearwater Forest 的设计融合了我们在 Granite Rapids 以及 288 核和 144 核 Sierra Forest 处理器中看到的许多架构概念,但新增加的 3D Foveros 封装是关键所在。

在使用 HBM4 的芯片设计中,这种将逻辑芯片与基底芯片粘合在一起的策略也至关重要,据悉,HBM4 需要一个有源基底芯片来确保最佳的信号完整性。

Clearwater Forest 是首款使用通用芯片组互连 Express(UCIe)的大批量芯片,这是一种用于将芯片连接在一起的全新行业接口。

UCIe 是一个不容忽视的关键进步:英特尔、AMD、Arm、Nvidia、台积电、三星和其他 120 家公司都支持该接口,以开源设计实现芯片间芯片到芯片互连的标准化,从而降低成本,促进来自多家芯片制造商的经过验证的混搭芯片生态系统的发展。

英特尔在 Clearwater Forest 中采用 UCIe 的所有方法,不仅表明这项技术正在快速发展,而且表明英特尔正在引领行业的发展。

后 5N4Y--Intel 14A 工艺

在 5N4Y 图表之后,英特尔展示了 Intel 14A(1.4nm)工艺,这将是业界首个使用 ASML High-NA EUV 光刻工具的工艺节点。

事实上,英特尔是业内首家获得尖端 High-NA 工具的公司,而台积电(TSMC)据说因成本问题推迟到 2030 年才使用这种生产工具。

英特尔没有透露 14A 的性能或密度目标,但基本可以确认采用下一代 PowerVia 背面供电技术和 RibbonFET GAA 晶体管。

根据英特尔的路线图,Intel 14A 共有两个类型,标准的 14A 以及后续扩展的 14A-E。

E 代表功能扩展,是英特尔新方法的一部分,即对现有工艺节点进行不同的定制,以延长其生命周期,类似于台积电和三星。

英特尔并未公布 Intel 14A 的交付日期,至少也要等到 2025 年,此外消息称英特尔计划 2027 年风险生产 14A-E,这意味着首批测试芯片将采用 A0 步进。

媒体认为考虑到英特尔回归 "Tick-Tock" 式的节奏和 14A-E 的时间安排,我们认为 14A 将于 2026 年问世。

英特尔还将通过新的 "产品线扩展" 来扩展其英特尔 7、英特尔 3 和英特尔 16 节点。英特尔计划每两年推出一个新节点,然后每隔一年推出一个产品线扩展,就像以前的 "Tick-Tock" 模式一样。这些产品线扩展用新的后缀表示。

  • 后缀 P 表示节点的新修订版,性能有所提高;

  • 后缀 T 表示配备 TSV 的节点,可与混合粘合 / 3D Foveros 一起使用;

  • 后缀 E 表示特殊的新功能,如调整的工作 / 电压范围。

英特尔还将推出同时表示性能和特殊功能的 PT 版本,我们预计随着时间的推移还会出现其他组合版本。这种技术将使英特尔代工厂能够进一步利用现有节点,为特定客户提供服务。

英特尔 vs 台积电 vs 三星制程节点路线图

国外科技媒体 Tom's Hardware 基于公开信息,汇总了英特尔 vs 台积电 vs 三星三家代工企业的制程节点路线图,需要注意的是,它并不包括几个最重要的 PPAC(功耗、性能、面积和成本)指标,可以看到三家公司基本上都会在某个节点上开辟多个扩展产品。

图源:Tom's Hardware

早在 2022 年,三星就率先将全栅极(GAA)晶体管推向市场,但其实现的性能并不出色,而且良品率较低,导致其市场份额进一步被台积电超越。这也表明在半导体代工行业,拥有一项技术并不总能确保成功,实施才是关键。

英特尔的 20A 和 18A 配备了 GAA(PowerVia)和背面电源传输网络(BSPDN),英特尔将比台积电早两年半掌握背面电源传输技术,并将比台积电早一年半将 GAA 推向市场。

正如我们在三星身上看到的那样,拥有这些技术并不总能确保获胜,三星仍是尖端代工行业的一匹黑马。不过,如果关键的 PPAC 指标正确一致,英特尔较早采用这些技术将使其在与台积电的竞争中占据明显优势。

EDA 路线图

英特尔在 2023 年获得了四家 18A 大客户的承诺,其中一家客户预付了大笔代工产能费用,这意味着该客户将购买数量巨大的处理器。

微软也宣布将采用英特尔的 18A 工艺制造芯片,这是业界最大企业之一对英特尔的重大支持。

Intel Foundry Services Accelerator 项目帮助芯片设计人员和公司轻松采用英特尔的制造技术。

该计划包括分布在四个联盟中的 34 个合作伙伴:IP、EDA、设计服务和 USMAG(美国军事、航空航天和政府)。

这些广泛的合作伙伴包括 EDA 行业的重量级企业,如 Ansys、Cadence、Synopsys、Siemens 和 Keysight,以及广泛的 IP 合作伙伴,包括 Arm、RISC-V、SiFive、Rambus 等。

特别声明:以上内容(如有图片或视频亦包括在内)为自媒体平台“网易号”用户上传并发布,本平台仅提供信息存储服务。

Notice: The content above (including the pictures and videos if any) is uploaded and posted by a user of NetEase Hao, which is a social media platform and only provides information storage services.

相关推荐
热点推荐
王楚钦孙颖莎恋情实锤?队友喊话你家的真厉害,谁注意孙颖莎反应

王楚钦孙颖莎恋情实锤?队友喊话你家的真厉害,谁注意孙颖莎反应

东球弟
2024-10-06 11:06:29
A股,冲上热搜!券商部分岗位已复工,交易所明日测试,任泽平:下周开盘即收盘!新股民假期忙开户,但第一天还不能交易

A股,冲上热搜!券商部分岗位已复工,交易所明日测试,任泽平:下周开盘即收盘!新股民假期忙开户,但第一天还不能交易

每日经济新闻
2024-10-06 18:47:08
仗快打完了,俄罗斯开始和中国算账,普京亲信觉得中国占了便宜?

仗快打完了,俄罗斯开始和中国算账,普京亲信觉得中国占了便宜?

博览历史
2024-08-05 11:50:02
13分钟砍7分8板!2.22米小姚明回CBA就爆发 还能当顶梁柱?

13分钟砍7分8板!2.22米小姚明回CBA就爆发 还能当顶梁柱?

体娱天下
2024-10-06 08:12:02
暴雨蓝色预警发布!全市启动防汛防台四级响应行动

暴雨蓝色预警发布!全市启动防汛防台四级响应行动

上观新闻
2024-10-06 00:27:06
得知是中国人,对方撤回了“请立即离开”!

得知是中国人,对方撤回了“请立即离开”!

环球时报新闻
2024-10-04 14:04:36
翁帆离婚,一语惊人!杨振宁万万没想到,翁帆的内心会如此执着

翁帆离婚,一语惊人!杨振宁万万没想到,翁帆的内心会如此执着

陈二建
2024-09-06 17:25:29
沙特将11月销往亚洲的阿拉伯轻质原油官方售价定为较阿曼/迪拜均价升水2.20美元

沙特将11月销往亚洲的阿拉伯轻质原油官方售价定为较阿曼/迪拜均价升水2.20美元

财联社
2024-10-05 21:26:06
为什么中国轴承的质量不如日本、瑞士的?咱们从钢球开始说起。

为什么中国轴承的质量不如日本、瑞士的?咱们从钢球开始说起。

今日养生之道
2024-10-06 13:12:18
内讧之后被雪藏!前红军队长欲逃离荷甲 老东家抛出橄榄枝

内讧之后被雪藏!前红军队长欲逃离荷甲 老东家抛出橄榄枝

球事百科吖
2024-10-06 19:20:32
他是最长寿的开国上将,曾参与了“西安事变”,79岁官至副国级

他是最长寿的开国上将,曾参与了“西安事变”,79岁官至副国级

历史龙元阁
2024-10-05 17:24:34
6裁员5签约正式达成!森林狼裁掉兰德尔,巴特勒湖人勇士二选一

6裁员5签约正式达成!森林狼裁掉兰德尔,巴特勒湖人勇士二选一

阿牛体育说
2024-10-06 18:27:58
一次国宴,揭开了全红婵的“真面目”,网友纷纷吐槽:太丢人!

一次国宴,揭开了全红婵的“真面目”,网友纷纷吐槽:太丢人!

吾爱纪实
2024-10-05 11:37:44
“主战场”已定?中国没退路,美军要动手?解放军或面临两线作战

“主战场”已定?中国没退路,美军要动手?解放军或面临两线作战

壹号评论
2024-10-02 06:10:05
蓉城vs梅州赛中一球迷突发昏厥倒地,于今日不幸离世享年41岁

蓉城vs梅州赛中一球迷突发昏厥倒地,于今日不幸离世享年41岁

直播吧
2024-10-06 17:27:16
12306的发声,直接证实大黄牛的存在,光明正大的黄牛!

12306的发声,直接证实大黄牛的存在,光明正大的黄牛!

芯怡飞
2024-09-30 10:47:09
布克:得到琼斯是抢劫 这个消息被爆出来时整个美国队都震惊了

布克:得到琼斯是抢劫 这个消息被爆出来时整个美国队都震惊了

直播吧
2024-10-05 20:35:04
惊天爆炸!贝鲁特刚刚遭遇以色列毁灭性打击,后果未知震撼全城

惊天爆炸!贝鲁特刚刚遭遇以色列毁灭性打击,后果未知震撼全城

国际情爆猿
2024-10-06 06:08:09
网传湖南醴陵发生两起烟花厂爆炸事故 当地官方回应:确实发生了事故

网传湖南醴陵发生两起烟花厂爆炸事故 当地官方回应:确实发生了事故

红星新闻
2024-10-05 16:54:06
10 月起施行!国家卫健委发文,禁止临床开展无意义重复研究

10 月起施行!国家卫健委发文,禁止临床开展无意义重复研究

肿瘤医学论坛
2024-10-06 10:03:30
2024-10-06 19:42:47
IT之家
IT之家
爱科技,爱这里 - 前沿科技人气平台
250885文章数 605409关注度
往期回顾 全部

数码要闻

ID-COOLING酷凛推出霜格620/410风冷散热器:黑金外观设计

头条要闻

本地男子到哀牢山探险因恐惧提前折返:没人敢去第二次

头条要闻

本地男子到哀牢山探险因恐惧提前折返:没人敢去第二次

体育要闻

最生猛的世界杯冠军,不穿衣服爬雪山

娱乐要闻

易烊千玺、王俊凯、王源:王俊凯或需换新路线

财经要闻

股市彻底火了!小城“股民”国庆实探

科技要闻

大众CEO:欧盟应让中国车企投资 而非加关税

汽车要闻

最高续航901km 岚图知音将于10月13日上市

态度原创

健康
时尚
房产
旅游
家居

花18万治疗阿尔茨海默病,值不值?

中年女人秋天适合怎么搭配?学会“叠穿”的方式,美得很简单

房产要闻

国庆到啦!快来查收你的买楼大礼包

旅游要闻

哀牢山是“禁区”?专家:存在指南针失灵情况

家居要闻

知境之间 简约现代休闲居所

无障碍浏览 进入关怀版